Multiplexor y demultiplexor: tipos y sus diferencias

Pruebe Nuestro Instrumento Para Eliminar Los Problemas





En los sistemas digitales a gran escala, se requiere una sola línea para transmitir dos o más señales digitales, ¡y por supuesto! a la vez, se puede colocar una señal en una línea. Pero, lo que se requiere es un dispositivo que nos permita seleccionar y, la señal que deseamos colocar en una línea común, dicho circuito se denomina multiplexor. La función de un multiplexor es seleccionar la entrada de cualquier 'n' líneas de entrada y alimentarla a una línea de salida. La función de un demultiplexor es invertir la función del multiplexor. Las formas de atajo del multiplexor y demultiplexores son mux y demux. Algunos multiplexores realizan ambas multiplexación y operaciones de demultiplexación. La función principal del multiplexor es que combina señales de entrada, permite la compresión de datos y comparte un solo canal de transmisión. Este artículo ofrece una descripción general del multiplexor y demultiplexor.

¿Qué son los multiplexores y demultiplexores?

En red transmisión , tanto el multiplexor como el demultiplexor son circuitos combinacionales . Un multiplexor selecciona una entrada de varias entradas y luego se transmite en forma de una sola línea. Un nombre alternativo del multiplexor es MUX o selector de datos. Un demultiplexor usa una señal de entrada y genera muchas. Por eso se le conoce como Demux o distribuidor de datos.




Multiplexor y demultiplexor

Multiplexor y demultiplexor

¿Qué es un multiplexor?

El multiplexor es un dispositivo que tiene múltiples entradas y salida de una sola línea. Las líneas de selección determinan qué entrada está conectada a la salida y también aumentan la cantidad de datos que se pueden enviar a través de una red dentro de un tiempo determinado. También se le llama selector de datos.



El interruptor unipolar de múltiples posiciones es un ejemplo simple de un circuito no electrónico del multiplexor, y se usa ampliamente en muchos circuitos electrónicos . El multiplexor se utiliza para realizar conmutaciones de alta velocidad y está construido por componentes electrónicos .

Multiplexor

Multiplexor

Los multiplexores son capaces de manejar tanto analógicos como aplicaciones digitales . En aplicaciones analógicas, los multiplexores se componen de relés e interruptores de transistores, mientras que en aplicaciones digitales, los multiplexores se construyen a partir de puertas lógicas . Cuando el multiplexor se utiliza para aplicaciones digitales, se denomina multiplexor digital.

Tipos de multiplexores

Los multiplexores se clasifican en cuatro tipos:


  • 2-1 multiplexor (1 línea de selección)
  • Multiplexor 4-1 (2 líneas seleccionadas)
  • Multiplexor 8-1 (3 líneas seleccionadas)
  • Multiplexor 16-1 (4 líneas seleccionadas)

Multiplexor 4 a 1

El multiplexor 4X1 consta de 4 bits de entrada, 1 bit de salida y 2 bits de control. Los cuatro bits de entrada son, a saber, 0, D1, D2 y D3, respectivamente, solo uno de los bits de entrada se transmite a la salida. El o / p 'q' depende del valor de la entrada de control AB. El bit de control AB decide cuál de los bits de datos i / p debe transmitir la salida. La siguiente figura muestra el diagrama de circuito del multiplexor 4X1 usando puertas AND. Por ejemplo, cuando los bits de control AB = 00, entonces se permiten las puertas Y superiores mientras que las puertas Y restantes están restringidas. Por lo tanto, la entrada de datos D0 se transmite a la salida 'q'

4X1 Mux

4X1 Mux

Si la entrada de control se cambia a 11, entonces todas las puertas están restringidas excepto la puerta AND inferior. En este caso, D3 se transmite a la salida y q = D0. Si la entrada de control se cambia a AB = 11, todas las puertas se desactivan excepto la puerta AND inferior. En este caso, D3 se transmite a la salida y q = D3. El mejor ejemplo de un multiplexor 4X1 es el IC 74153. En este IC, el o / p es el mismo que el i / p. Otro ejemplo de un multiplexor 4X1 es el IC 45352. En este IC, el o / p es el complemento del i / p

Multiplexor 8 a 1

El multiplexor 8 a 1 consta de 8 líneas de entrada, una línea de salida y 3 líneas de selección.

Mux 8 a 1

Mux 8 a 1

8-1 Circuito multiplexor

Para la combinación de una entrada de selección, la línea de datos se conecta a la línea de salida. El circuito que se muestra a continuación es un multiplexor de 8 * 1. El multiplexor 8 a 1 requiere 8 puertas AND, una puerta OR y 3 líneas de selección. Como entrada, la combinación de entradas de selección se envía a la puerta AND con las líneas de datos de entrada correspondientes.

De manera similar, todas las puertas Y reciben conexión. En este multiplexor de 8 * 1, para cualquier entrada de línea de selección, una puerta Y da un valor de 1 y las restantes todas las puertas Y dan 0. Y, finalmente, al usar las puertas O, se agregan todas las puertas Y, esto será igual al valor seleccionado.

Circuito Mux 8 a 1

Circuito Mux 8 a 1

Ventajas y desventajas del multiplexor

los ventajas del multiplexor Incluya lo siguiente.

  • En multiplexor, se puede reducir el uso de varios cables
  • Reduce el costo y la complejidad del circuito.
  • La implementación de varios circuitos combinados puede ser posible utilizando un multiplexor
  • Mux no requiere mapas K ni simplificación
  • El multiplexor puede hacer que el circuito de transmisión sea menos complejo y económico
  • La disipación de calor es menor debido a la corriente de conmutación analógica que varía de 10 mA a 20 mA.
  • La capacidad del multiplexor se puede ampliar para cambiar señales de audio, señales de vídeo, etc.
  • La confiabilidad del sistema digital se puede mejorar usando un MUX ya que disminuye el número de conexiones cableadas exteriores.
  • MUX se utiliza para implementar varios circuitos combinacionales
  • El diseño lógico se puede simplificar a través de MUX

los desventajas del multiplexor Incluya lo siguiente.

  • Se requieren retrasos adicionales dentro de los puertos de conmutación y señales de E / S que se propagan por todo el multiplexor.
  • Los puertos que se pueden utilizar al mismo tiempo tienen limitaciones
  • La conmutación de puertos se puede manejar agregando la complejidad del firmware
  • El control del multiplexor se puede realizar mediante el uso de puertos de E / S adicionales.

Aplicaciones de multiplexores

Los multiplexores se utilizan en diversas aplicaciones en las que es necesario transmitir varios datos utilizando una sola línea.

Sistema de comunicación

A sistema de comunicación tiene tanto una red de comunicación como un sistema de transmisión. Al usar un multiplexor, el eficiencia del sistema de comunicación se puede aumentar al permitir la transmisión de datos, como datos de audio y video de diferentes canales a través de líneas únicas o cables.

Memoria del ordenador

Los multiplexores se utilizan en la memoria de la computadora para mantener una gran cantidad de memoria en las computadoras y también para reducir la cantidad de líneas de cobre necesarias para conectar la memoria a otras partes de la computadora.

Red telefónica

En las redes telefónicas, se integran múltiples señales de audio en una sola línea de transmisión con la ayuda de un multiplexor.

Transmisión desde el sistema informático de un satélite

El multiplexor se utiliza para transmitir las señales de datos desde el sistema informático de una nave espacial o un satélite al sistema terrestre mediante usando un satélite GSM .

¿Qué es el demultiplexor?

El demultiplexor es también un dispositivo con una entrada y varias líneas de salida. Se utiliza para enviar una señal a uno de los muchos dispositivos. La principal diferencia entre un multiplexor y un demultiplexor es que un multiplexor toma dos o más señales y las codifica en un cable, mientras que un demultiplexor invierte lo que hace el multiplexor.

Demultiplexor

Demultiplexor

Tipos de demultiplexor

Los demultiplexores se clasifican en cuatro tipos

  • 1-2 demultiplexor (1 línea de selección)
  • 1-4 demultiplexor (2 líneas seleccionadas)
  • 1-8 demultiplexor (3 líneas seleccionadas)
  • 1-16 demultiplexor (4 líneas seleccionadas)

1-4 demultiplexor

El demultiplexor 1 a 4 consta de 1 bit de entrada, 4 bits de salida y bits de control. El diagrama del circuito del demultiplexor 1X4 se muestra a continuación.

1X4 Demux

1X4 Demux

El bit i / p se considera como Dato D. Este bit de datos se transmite al bit de datos de las líneas o / p, que depende del valor AB y del control i / p.

Cuando el control i / p AB = 01, la segunda puerta Y superior está permitida mientras que las puertas Y restantes están restringidas. Por lo tanto, solo el bit de datos D se transmite a la salida e Y1 = Datos.

Si el bit de datos D es bajo, la salida Y1 es baja. SI el bit de datos D es alto, la salida Y1 es alta. El valor de la salida Y1 depende del valor del bit de datos D, las salidas restantes están en un estado bajo.

Si la entrada de control cambia a AB = 10, entonces todas las puertas están restringidas excepto la tercera puerta Y desde la parte superior. Entonces, el bit de datos D se transmite solo a la salida Y2 e Y2 = Datos. . El mejor ejemplo de demultiplexor 1X4 es IC 74155.

1-8 demultiplexor

El demultiplexor también se denomina distribuidor de datos, ya que requiere una entrada, 3 líneas seleccionadas y 8 salidas. El demultiplexor toma una sola línea de datos de entrada y luego la cambia a cualquiera de las líneas de salida. El diagrama de circuito del demultiplexor de 1 a 8 se muestra a continuación y utiliza 8 puertas AND para lograr la operación.

1-8 circuito Demux

1-8 circuito Demux

El bit de entrada se considera como dato D y se transmite a las líneas de salida. Esto depende del valor de entrada de control del AB. Cuando AB = 01, la segunda puerta superior F1 está habilitada, mientras que las puertas AND restantes están inhabilitadas y el bit de datos se transmite a la salida dando F1 = datos. Si D es bajo, F1 es bajo y si D es alto, F1 es alto. Entonces, el valor de F1 depende del valor de D, y las salidas restantes están en el estado bajo.

Ventajas y desventajas del demultiplexor

los ventajas de demultiplexe r incluir lo siguiente.

  • Se utiliza un demultiplexor o Demux para dividir las señales mutuas en flujos separados.
  • La función de Demux es bastante opuesta a MUX.
  • La transmisión de señales de audio o video necesita una combinación de Mux y Demux.
  • Demux se utiliza como decodificador dentro de los sistemas de seguridad de los sectores bancarios.
  • La eficiencia del sistema de comunicación se puede mejorar mediante la combinación de Mux y Demux.

los desventajas del demultiplexor Incluya lo siguiente.

  • Puede ocurrir un desperdicio de ancho de banda
  • Debido a la sincronización de las señales, pueden producirse retrasos

Aplicaciones del demultiplexor

Los demultiplexores se utilizan para conectar una sola fuente a múltiples destinos. Estas aplicaciones incluyen las siguientes:

Sistema de comunicación

Mux y demux se utilizan en sistemas de comunicación para llevar a cabo el proceso de transmisión de datos. Un demultiplexor recibe las señales de salida del multiplexor y, en el extremo del receptor, las convierte de nuevo a la forma original.

Unidad lógica aritmética

La salida de la ALU se alimenta como una entrada al demultiplexor y la salida del demultiplexor se conecta a varios registros. La salida de la ALU se puede almacenar en varios registros.

Convertidor de serie a paralelo

Este convertidor se utiliza para reconstruir datos en paralelo. En esta técnica, los datos en serie se dan como entrada al demultiplexor a un intervalo regular, y se adjunta un contador al demultiplexor en la entrada de control para detectar la señal de datos en la salida del demultiplexor. Cuando se almacenan todas las señales de datos, la salida del demux se puede leer en paralelo.

Diferencia entre multiplexor y demultiplexor

La principal diferencia entre multiplexor y demultiplexor se analiza a continuación.

Multiplexor Demultiplexor
Un multiplexor (Mux) es un circuito combinacional que utiliza varias entradas de datos para generar una única salida.Un demultiplexor (Demux) es también un circuito combinacional que utiliza una sola entrada que puede dirigirse a través de varias salidas.
El multiplexor incluye varias entradas y la salida únicaEl demultiplexor incluye una sola entrada y varias salidas
Un multiplexor es un selector de datosEl demultiplexor es un distribuidor de datos
Es un interruptor digitalEs un circuito digital
Funciona según el principio de muchos a uno.Funciona según el principio de uno a muchos
La conversión de paralelo a serie se utiliza en el multiplexorLa conversión de serie a paralelo se utiliza en demultiplexor
El multiplexor utilizado en TDM (multiplexación por división de tiempo está al final del transmisorEl demultiplexor utilizado en TDM (multiplexación por división de tiempo está al final del receptor
El multiplexor se llama MUXEl demultiplexor se llama Demux
No utiliza puertas adicionales al diseñarEn esto, se necesitan puertas adicionales al diseñar demux
En Multiplexor, las señales de control se utilizan para elegir la entrada específica que se debe enviar a la salida.El demultiplexor utiliza la señal de control para permitirnos incluir varias salidas.
El multiplexor se usa para mejorar la eficiencia del sistema de comunicación utilizando datos de transmisión como la transmisión de audio y video.El demultiplexor obtiene las señales o / p del Mux y las cambia a la forma única al final del receptor.
Los diferentes tipos de multiplexores son 8-1 MUX, 16-1 MUX y 32-1 MUX.Los diferentes tipos de demultiplexores son 1-8 Demux, 1-16 Demux, 1-32 Demux.
En multiplexor, el conjunto de líneas de selección se utiliza para controlar la entrada específicaEn el demultiplexor, la selección de la línea de salida se puede controlar mediante valores de bits de n líneas de selección.

Diferencia clave entre multiplexor y demultiplexor

Las diferencias clave entre multiplexor y demultiplexor se analizan a continuación.

  • Los circuitos lógicos combinacionales como el multiplexor y el demultiplexor se utilizan dentro de los sistemas de comunicación, sin embargo, su función es exactamente opuesta entre sí porque uno trabaja en múltiples entradas mientras que el otro trabaja solo en entrada.
  • El multiplexor o Mux es un dispositivo N-a-1, mientras que el demultiplexor es un dispositivo 1-a-N.
  • Un multiplexor se utiliza para convertir varias señales analógicas o digitales en una sola señal o / p a través de diferentes líneas de control. Estas líneas de control se pueden determinar utilizando esta fórmula como 2n = r donde 'r' es el número de señales i / p y 'n' es el número de líneas de control requeridas.
  • El método de conversión de datos utilizado en MUX es paralelo a serie y no es difícil de entender porque utiliza diferentes entradas. Sin embargo, DEMUX funciona a la inversa de MUX como una conversión de serie a paralelo. Entonces, el número de salidas se puede lograr en este caso.
  • Se utiliza un demultiplexor para convertir una señal i / p en varias. El número de señales de control se puede determinar utilizando la misma fórmula de MUX.
  • Tanto el Mux como el Demux se utilizan para transmitir los datos a través de una red en menos ancho de banda. Pero el multiplexor se usa en el extremo del transmisor mientras que el Demux se usa en el extremo del receptor.

Esta es la informacion basica sobre multiplexores y demultiplexores. Espero que haya obtenido algunos conceptos fundamentales sobre este tema observando los circuitos lógicos y sus aplicaciones. Puede escribir sus opiniones sobre este tema en la sección de comentarios a continuación.

Créditos fotográficos